Arteris

Arteris Logo

Arteris Overview

Arteris is a leading provider of system IP for the acceleration of system-on-chip (SoC) development across today’s electronic systems. Arteris network-on-chip (NoC) interconnect IP and SoC integration technology enables SoC creation success with proven flexibility and ease for a variety of applications and markets including AI, automotive, communications, consumer electronics, enterprise computing, and industrial. Arteris technology ensures higher product performance with lower power consumption and faster time to market, delivering better SoC economics for its global customer base including semiconductor manufacturers, OEMs, hyperscale system houses, semiconductor design houses and other producers of electronic systems.

The Arteris product line is silicon-proven, supporting SoC innovation from creation to integration.

Network-on-Chip IP Products

  • Non-coherent NoC IP, with FlexNoC and FlexWay - Create physically valid NoCs faster.

  • Cache-coherent NoC IP, with Ncore - Solve multi-core design challenges with safety support.

  • Last-level Cache, with CodaCache - Fast local memory for high-performance systems.

SoC Integration Automation Products

  • Magillem Connectivity - Automate SoC assembly to eliminate tedious tasks.

  • Magillem Registers - Enable effective hardware/software interface development for schedule acceleration.

  • CSRCompiler - Streamline hardware/software interface foundation creation.

Arteris technology transforms IP blocks into optimized SoCs. Learn more at arteris.com.

Meet The Arteris Team at Hot Chips

Mike Phan

Darcy Huston

Book a meeting or slack with us

Articles To Explore

Push-Button NoCs for SoCs

Network-on-Chip (NoC) Interconnect Topologies Explained

Back to Basics – Designing Out PPA Risk

Managing Service Level Risk in SoC Design

Automating System-on-Chip Integration for the 21st Century

Explore the Arteris blog

Educational Webinars

Arteris FlexNoC 5 - Industry’s First Physically Aware Network-on-Chip IP Webinar

  • Learn about the latest generation FlexNoC 5 interconnect with its integrated physical awareness technology that gives place and route teams an advanced starting point while simultaneously reducing interconnect area and power consumption.

Accelerate Time To Market With a First-Time Right Process Webinar

  • Explore SoC integration automation with Arteris and see how to boost productivity and meet aggressive schedules despite growing complexity in design.

Noteworthy Use Cases

SiMa.ai was looking to design a state-of-the-art machine learning accelerator (MLA) and they needed an easy way to generate a NoC quickly. Using Arteris, they saved years on their project timeline.

Sondrel delivered implementation-ready RTL based on client concepts reducing design time from 4-5 months down to 1-2 months on advanced ADAS SoC designs using Arteris.

Inuitive, a fabless semiconductor company, created a new iteration of an existing vision-on-chip device quickly and easily, meeting an aggressively short time-to-market schedule using Arteris interconnect IP.

Additional Resources for Hot Chips attendees